Welcome![Sign In][Sign Up]
Location:
Search - max plus

Search list

[Software EngineeringMax+Plus-II_Quickstart_Chinese

Description: Max+Plus II 简易用户使用入门指南
Platform: | Size: 234577 | Author: whr | Hits:

[BooksMPII_Quickstart_Chinese

Description: Max+Plus II 简易用户使 用入门指南-Max Plus II Summary users Beginner's Guide
Platform: | Size: 233472 | Author: 姜力 | Hits:

[Booksmax+plus ii快速入门

Description: maxplus2是一款应用于硬件编程的编程软件,本文件教你快速掌握其编程,仿真方法。-maxplus2 hardware is a programming application programming software, this document will teach you grasp its programming and simulation methods.
Platform: | Size: 344064 | Author: 刘晓飞 | Hits:

[Otherwave0001

Description: 在MAX-PLUS下设计的函数消耗发生器,波形有正弦波、方波、三角拨、锯齿波(用键盘选择),信号频率可调(用键盘调节)-the MAX-PLUS design of the consumption function generator, a sine wave, square, triangle area and Sawtooth (keyboard), in signal frequency adjustable (keyboard conditioning)
Platform: | Size: 133120 | Author: 曹海学 | Hits:

[OtherVHDL-FPGA-clock

Description: FPGA数字钟的设计,用VHDL语言编程,max+plus仿真,可在实际电路中验证-FPGA design, VHDL programming, max plus simulation, in the actual circuit verification
Platform: | Size: 269312 | Author: 王越 | Hits:

[VHDL-FPGA-Verilogshuzizhong05

Description: MAX+plus II 9.23 Baseline-MAX plus Baseline II 9.23
Platform: | Size: 258048 | Author: 冬海 | Hits:

[VHDL-FPGA-VerilogDigitalClockVHDL

Description: 多功能电子时钟的VHDL源代码。使用MAX+PLUS II进行编译。该文档有详细的说明和程序注释。-VHDL source code. Use MAX PLUS II computer. The document is described in detail in the Notes and procedures.
Platform: | Size: 83968 | Author: wangyiran | Hits:

[File FormatMAX+PLUSII

Description: MAX+PLUSII不错的电子书,内容详细,易懂.-MAX PLUSII good e-books, detailed, understandable.
Platform: | Size: 262144 | Author: zhoudefang | Hits:

[Program docMAX+plusIIxiaVHDLsheji

Description: VHDL在MAX+plusII下进行的电路设计!是一个WORD文档!-VHDL in MAX+ PlusII carried out under the circuit design! Is a WORD document!
Platform: | Size: 12288 | Author: 段正伟 | Hits:

[Other1_061227123744

Description: max plus的入门与应用,适合初学者对max plus ii有一个感性的认识-max plus entry and applications, suitable for beginners to the max plus ii have a perceptual awareness of
Platform: | Size: 237568 | Author: da | Hits:

[OtherMAX+PLUS

Description: 看看一步一步学听好的挺简单的适合出学的看一步一步学听好的挺简单的适合出学的-To see step by step, learn to listen to good for a very simple step by step to learn to see learn to listen to very good for a simple learning
Platform: | Size: 447488 | Author: | Hits:

[VHDL-FPGA-Verilogtaxi-vhdl

Description: 出租车计费器 硬件描述语言 出租车计费器 MAX+PLUS软件 数字系统-Taxi billing hardware description language taxi meter MAX+ PLUS software digital systems
Platform: | Size: 48128 | Author: aneeee | Hits:

[VHDL-FPGA-Verilogelectoniclock

Description: 摘 要: 数字密码锁主要完成上锁、密码输入、密码核对、开启电锁、密码修改等功能.数字密码锁的设计电路主要包括 11 个模块 ,各模块由相应的 VHDL 程序具体实现并分别进行了 MAX + PLUS II 时序仿真. 最后 ,在 MAX + PLUS Ⅱ环境下进行了整体电路的模拟仿真 ,结果表明 ,整个设计满足要求.
Platform: | Size: 712704 | Author: 孙光华 | Hits:

[Software EngineeringMax+Plus-II_Quickstart_Chinese

Description: Max+Plus II 简易用户使用入门指南-Max+ Plus II Simple User Getting Started Guide
Platform: | Size: 234496 | Author: whr | Hits:

[VHDL-FPGA-Verilog0097

Description: MAX+plus II编译的模30加法计数器,简单的与非门组成!-MAX+ Plus II compiler module adder 30 counters, a simple composition with the non-door!
Platform: | Size: 13312 | Author: LEE | Hits:

[VHDL-FPGA-VerilogMAX-PLUSII-soft

Description: MAX+PLUSII软件是一个功能强大,容易使用的软件包,它可以以图 形方式、文字输入方式(AHDL、VHDL和VERILOG)和波形方式输入设计文 件,可以编译并形成各种能够下装到EPROM和各种ALTERA器件的文件,还可 以进行仿真以检验设计的准确性,下面举例说明该软件的使用-MAX+ PLUSII software is a powerful, easy-to-use software package, which can graphically, text input methods (AHDL, VHDL and VERILOG) and waveform enter design documents can be compiled and form can be downloaded to a variety of EPROM and ALTERA documents a variety of devices can also be used for simulation to test the accuracy of the design, the following examples to illustrate the use of the software
Platform: | Size: 124928 | Author: 徐靖 | Hits:

[Software Engineering07302529

Description: 计算机组成原理实验(MAX PLUS) 1.ALU设计 2.MEM设计 3.32位2选1选择器-Principles of Computer Organization Experiment (MAX PLUS) 1.ALU design 2.MEM design 3.32 2 election 1 selector
Platform: | Size: 244736 | Author: 翁浩达 | Hits:

[VHDL-FPGA-VerilogMax_PlusII_ppt

Description: Max+Plus II 的ppt文档,看后可以很轻易上手Max+Plus -Help
Platform: | Size: 1701888 | Author: 李晓东 | Hits:

[VHDL-FPGA-VerilogWATERHOURMETERBASEDONVHDL

Description: 在 MAX+PLUS II开发环境下采用 VHDL语言 设计并实现了电表抄表器 讨论了系统的四个 组成模块的设计和 VHDL 的实现 每个模块采用 RTL 级描述 整体的生成采用图形输入法 通过波形仿真 下载芯片测试 完成了抄表器的功能-In the MAX+ PLUS II development environment using VHDL language design and implementation of the meter meter reading device to discuss the four components of the system module design and VHDL implementation of each module using RTL-level description of a whole generation of graphical input waveform Simulation download chip testing completed meter reading functions
Platform: | Size: 239616 | Author: linfeng | Hits:

[VHDL-FPGA-Verilogfir-filter-design-using-fpga-with-MAX-Plus2

Description: 基于FPGA的高阶FIR滤波器设计用max-plus -II软件仿真-fir filter using fpga with max-plusII
Platform: | Size: 2334720 | Author: 星空心晴之夏 | Hits:
« 12 3 4 5 6 7 8 9 10 »

CodeBus www.codebus.net